Contents Up << >>

Shared variables.

Variables can be declared as shared in architectures, packages, and blocks. These variables, like any others, are only accessible within processes and procedures, but may be accessed in more than one process.